summaryrefslogtreecommitdiff
path: root/demos/time-tracker/tests/unit.php
diff options
context:
space:
mode:
authorwei <>2006-07-14 06:56:16 +0000
committerwei <>2006-07-14 06:56:16 +0000
commit143980b6dab8ad87c44518e5b7befb614fb83b85 (patch)
tree6afadfb80ca6e4d36443f83bd5a6cc2cfe73032d /demos/time-tracker/tests/unit.php
parentc004bbdf4f0e824e5ccbaef8f98ca4a3d44d3b49 (diff)
Add time-tracker sample and docs. (Incomplete)
Diffstat (limited to 'demos/time-tracker/tests/unit.php')
-rw-r--r--demos/time-tracker/tests/unit.php11
1 files changed, 11 insertions, 0 deletions
diff --git a/demos/time-tracker/tests/unit.php b/demos/time-tracker/tests/unit.php
new file mode 100644
index 00000000..a920b205
--- /dev/null
+++ b/demos/time-tracker/tests/unit.php
@@ -0,0 +1,11 @@
+<?php
+
+include_once '../../prado-trunk/tests/test_tools/unit_tests.php';
+
+$app_directory = "../protected";
+$test_cases = dirname(__FILE__)."/unit";
+
+$tester = new PradoUnitTester($test_cases, $app_directory);
+$tester->run(new HtmlReporter());
+
+?> \ No newline at end of file