summaryrefslogtreecommitdiff
path: root/tests/simple_unit/unit.php
diff options
context:
space:
mode:
authorctrlaltca <>2012-07-12 11:21:01 +0000
committerctrlaltca <>2012-07-12 11:21:01 +0000
commit903ae8a581fac1e6917fc3e31d2ad8fb91df80c3 (patch)
treee08bf04f0823650a231227ac3499121270172a23 /tests/simple_unit/unit.php
parent3e4e6e66aeb3f8fea4e1eb4237498ef9d2358f63 (diff)
standardize the use of unix eol; use svn properties to enforce native eol
Diffstat (limited to 'tests/simple_unit/unit.php')
-rw-r--r--tests/simple_unit/unit.php16
1 files changed, 8 insertions, 8 deletions
diff --git a/tests/simple_unit/unit.php b/tests/simple_unit/unit.php
index 7e86e925..63910f68 100644
--- a/tests/simple_unit/unit.php
+++ b/tests/simple_unit/unit.php
@@ -1,9 +1,9 @@
-<?php
-
-include_once '../test_tools/unit_tests.php';
-$test_cases = dirname(__FILE__)."/";
-
-$tester = new PradoUnitTester($test_cases);
-$tester->run(new HtmlReporter());
-
+<?php
+
+include_once '../test_tools/unit_tests.php';
+$test_cases = dirname(__FILE__)."/";
+
+$tester = new PradoUnitTester($test_cases);
+$tester->run(new HtmlReporter());
+
?> \ No newline at end of file